Samsung plans to start mass production of GAA-based 2nm chips in 2025 | Heisener Electronics
Contactez nous
SalesDept@heisener.com +86-755-83210559 ext. 816
Language Translation

* Please refer to the English Version as our Official Version.

Samsung plans to start mass production of GAA-based 2nm chips in 2025

Technology Cover
Date de Parution: 2022-06-20, Samsung

    It is reported that GAA is a next-generation process technology that improves the structure of semiconductor transistors so that the gate can contact all four sides of the transistor instead of three sides of the current FinFET process. The GAA structure can control the current more accurately than the FinFET process.

    According to TrendForce data, in the fourth quarter of 2021, TSMC accounted for 52.1% of the global foundry market, far exceeding Samsung Electronics' 18.3%.

     Samsung Electronics is betting on applying GAA technology to the 3nm process to catch up with TSMC. The South Korean semiconductor giant reportedly used 3nm GAA process wafers for trial production in early June, becoming the first company in the world to use GAA technology. Samsung hopes to quickly narrow the gap with TSMC through technological leaps. The 3nm process improves semiconductor performance and cell efficiency by 15% and 30%, respectively, while reducing the chip area by 35% compared to the 5nm process.

     After applying GAA technology to its 3-nanometer process in the first half of this year, Samsung plans to introduce it into its second-generation 3-nanometer chips in 2023 and mass-produce GAA-based 2-nanometer chips in 2025. TSMC's strategy is to enter the 3nm semiconductor market using a stable FinFET process in the second half of this year, while Samsung Electronics is betting on GAA technology.

     Experts say Samsung could be a game-changer in the foundry market if it guarantees stable yields in its GAA-based 3-nanometer process. TSMC is expected to introduce the GAA process starting with 2nm chips and release the first product around 2026. For Samsung Electronics, the next three years will be a critical period.

     Recently, Samsung announced that it will invest a total of 450 trillion won (about 2.34 trillion yuan) in key industries such as semiconductors over the next five years. However, Samsung has hit a snag with the 3nm process. Like Samsung, TSMC is having trouble ramping up yields on its 3nm process.

     It is understood that TSMC originally planned to start mass production of semiconductors for Intel and Apple using 3nm technology from July, but has encountered difficulties in ensuring the desired yield. DigiTimes reported that TSMC has struggled to ensure the desired yield of its 3nm process and has therefore revised its technology roadmap several times.

     Samsung Electronics is facing a similar situation, with wafers already in use for trial production of the 3nm process, but the company has been delaying announcing official mass production due to low yields. "Unless Samsung Electronics secures enough customers for its 7-nm or more advanced process, investors' anxiety about Samsung Electronics' future performance may be heightened," said Roh Keun-chang, head of research at Hyundai Motor Securities.

Produits Connexes